vhdl-mode: Sample Init File

 
 8 Sample Init File
 ******************
 
 Most customizations can be done using the “Customize” entry in the VHDL
 Mode menu, which requires no editing of the .emacs file.  If you want to
 customize indentation, here you go:
 
      ;; Here's a sample .emacs file that might help you along the way.  Just
      ;; copy this region and paste it into your .emacs file.  You may want to
      ;; change some of the actual values.
 
      (defconst my-vhdl-style
        '((vhdl-tab-always-indent        . t)
          (vhdl-comment-only-line-offset . 4)
          (vhdl-offsets-alist            . ((arglist-close    . vhdl-lineup-arglist)
                                            (statement-cont   . 0)
                                            (case-alternative . 4)
                                            (block-open       . 0)))
          (vhdl-echo-syntactic-information-p . t)
          )
        "My VHDL Programming Style")
 
      ;; Customizations for vhdl-mode
      (defun my-vhdl-mode-hook ()
        ;; add my personal style and set it for the current buffer
        (vhdl-add-style "PERSONAL" my-vhdl-style t)
        ;; offset customizations not in my-vhdl-style
        (vhdl-set-offset 'statement-case-intro '++)
        ;; other customizations
        (setq tab-width 8
              ;; this will make sure spaces are used instead of tabs
              indent-tabs-mode nil)
        ;; keybindings for VHDL are put in vhdl-mode-map
        (define-key vhdl-mode-map "\C-m" 'newline-and-indent)
        )
 
      (add-hook 'vhdl-mode-hook 'my-vhdl-mode-hook)